Thread Links Date Links
Thread Prev Thread Next Thread Index Date Prev Date Next Date Index

Clause 46 - Link Fault Signaling State Machine




Hi Pat,
I need some clarification on a couple of points about the Link Fault
Signaling State Machine (section 46.3.4).

FIRST:
The seq_type register can have three values under specific conditions:
                             Condition
Value               TXC Lane0  Lane1  Lane2  Lane3
Local Fault          1    9C     00     00     01
Remote Fault         1    9C     00     00     02
Other Fault          1    9C     XX     XX     XX(except for 01 or 02)
(true?)

The link_fault register can have three values:
OK - NO FAULT
Local Fault
Remote Fault

Now, in the FAULT state, the seq_type value is assigned to the link_fault
value. My question is what value should link_fault take when seq_type is
OTHER FAULT? Should it be OK (NO FAULT)???

When we evaluate seq_type on lane 3, do we need to check for other than 1 or
2 to assign the OTHER FAULT value?

SECOND:
lines 28 to 30 on page 255 (rev. d.3) say:
"Local Fault indicates  a fault detected on the receive data path between
the remote RS and the local RS. Remote Fault indicates a fault on the
transmit path between the local RS and the remote RS."

Further down, on lines 49 and 50 of the same page, there are different
definitions for Local and Remote Faults:
"Local Fault; fault detected by the PHY.
Remote Fault; fault detection signaled by the remote RS."

Which definition is actually intended?

Thank you,


Rick Rabinovich
Spirent Communications
System Architect
(818)676-2476
rick.rabinovich@xxxxxxxxxxxxxx